banner

Blog

Sep 07, 2023

Polykristalline Silizium-PhC-Hohlräume für CMOS

Wissenschaftliche Berichte Band 12, Artikelnummer: 17097 (2022) Diesen Artikel zitieren

1287 Zugriffe

15 Altmetrisch

Details zu den Metriken

In dieser Arbeit stellen wir eine On-Chip-2D- und 3D-Photonik-Integrationslösung vor, die mit der Front-End-of-Line-Integration (FEOL) kompatibel ist und abgeschiedenes polykristallines Silizium (Poly:Si) für optische Verbindungsanwendungen verwendet. Hier wird die Integration von abgeschiedenem Silizium auf einem Bulk-Siliziumwafer in all seinen Verarbeitungsschritten und Konfigurationen besprochen. Darüber hinaus werden Ergebnisse von abgeschiedenen photonischen Kristallresonatoren (PhC) mit hoher Güte aus Silizium gezeigt, die die Möglichkeit demonstrieren, auf diesem Material strukturierte optische Resonatoren in der nächsten Generation integrierter optischer 2D- und 3D-Verbindungen einzusetzen.

Der Bedarf an Photonik auf CPU-Ebene nimmt in den letzten Jahren stark zu. Die jüngste Verringerung der Größe von Transistoren (bis hin zum 2-nm-Knotenäquivalent − 333,33 MTr/mm21,2 und prognostizierter 1-nm-Knoten im Jahr 20293), die sich in erhöhten Transistordichten niederschlägt, hat zu einem schnellen Wachstum der Anzahl schwebender Transistoren geführt. Punktoperationen (FLOP), die Prozessoren ausführen können, von 1 TFLOP im Jahr 2007 auf 7,2 TFLOPs im Jahr 2015 und auf prognostizierte 96,8 TFLOPs im Jahr 2022. Um das optimale Prozessorarchitekturverhältnis von 1 Byte/FLOP aufrechtzuerhalten, muss außerdem der Chip-I/O Die Bandbreite erfordert eine kontinuierliche Skalierung über mehrere Hundert Tbit/s1. Aufgrund der Produktionsausbeute und der Herstellungskosten ist die Chipfläche jedoch auf ihre aktuelle Größe begrenzt, was sich in einem sehr langsamen Anstieg der Anzahl der Signalpins äußert, was die Chip-Packungskapazitäten stark einschränkt. Daraus folgt, dass der aktuelle Bandbreitenbedarf nur durch eine Erhöhung des Off-Chip-Takts auf über 65 GHz bis 20294 gedeckt werden kann. Gleichzeitig begrenzt die On-Chip-Wärmeableitung den maximalen Chip-Stromverbrauch auf 300 W2, wobei auch das Energiebudget für Off-Chip reduziert werden muss -Chip-Kommunikation von Tausenden bis zu mehreren zehn FJ/Bit. Aufgrund dieser Bandbreiten- und Energieanforderungen müssen anstelle der vorhandenen elektrischen Verbindungen optische Verbindungen auf dem Elektronikchip implementiert werden. Ein traditioneller Optik-Elektronik-Packaging-Ansatz beinhaltet das Chip-Bonden von optischen Verbindungen auf der Elektronik, dessen Bandbreite jedoch immer noch durch den Abstand der Flip-Chip-Signal-I/Os begrenzt ist. Dieser Ansatz führt zu parasitärer Elektrizität, die die Leistung sowohl der optischen als auch der elektronischen Komponenten beeinträchtigt, und führt außerdem zu einem Engpass bei der Bandbreitendichte. Ein weiterer vielversprechender Integrationsansatz besteht in der monolithischen Front-End-Integration von photonischen Schaltkreisen aus Silizium, bei der die optischen und elektronischen Komponenten in derselben SOI-Schicht aus kristallinem Silizium auf einem einzigen Chip realisiert werden5, was eine sehr kompakte Integration von Photonik und Elektronik ermöglicht , wodurch die Bandbreitendichte maximiert und parasitäre Effekte verringert werden. Allerdings beeinträchtigt dieser Ansatz neben den höheren SOI-Kosten im Vergleich zu massiven Si-Wafern auch die Leistung der Elektronik erheblich, da bei Telekommunikationswellenlängen ein verlustarmer optischer Einschluss in den photonischen Wellenleitern ein mindestens 1 µm dickes vergrabenes Oxid erfordert, während SOI-Transistoren sehr viel benötigen dünnes vergrabenes Oxid (100 nm oder weniger) für Wärmeableitung und elektrostatische Effekte. Dickes vergrabenes Oxid bedeutet, dass die Gate-Längen der Transistoren länger als 100 nm sein müssen und die Transistordichte abnimmt6,7, was die Leistung und Skalierbarkeit der Prozessoren erheblich einschränkt. Einige Anstrengungen wurden auch auf die Front-End-Integration von Wellenleitern auf Bulk-Si8,9,10 und dünnen SOI-Substraten11,12 gerichtet, aber diese Techniken umfassen immer die Herstellungsschritte, die die Modifikation der Silizium-Elektronikschicht beinhalten. Ein weiterer Integrationsansatz, der als monolithische Back-End-Integration13 bezeichnet wird, beinhaltet die Realisierung der photonischen Komponenten auf einer anderen Ebene als die Elektronikschicht und bietet hohe Bandbreitendichten ähnlich wie Front-End-Prozesse, bietet aber zusätzlich die Möglichkeit, die optimierte Fertigung beizubehalten der Transistorschicht unverändert, im Gegensatz zu Front-End-Integrationsanforderungen. Bei der photonischen Schicht handelt es sich typischerweise um abgeschiedenes Silizium in verschiedenen Phasen und Formen, da standardmäßiges kristallines Silizium nicht mit der Standard-CMOS-Technologie abgeschieden werden kann, sondern nur durch epitaktisches Wachstum14 gebildet wird, wenn bereits ein kristalliner Keim vorhanden ist, oder von einem Donor-c:Si-Wafer auf einen anderen übertragen wird Zielwafer durch Ionenimplantation und Wafer-Bonding15,16, wie im Fall von SOI. Abgeschiedene Siliziummaterialien für die Photonik können die Form von Siliziumnitrid (SiN), amorphem Silizium (a:Si) und neuerdings auch polykristallinem Silizium (poly:Si) annehmen. Trotz der Optimierung der optischen Eigenschaften dieser abgeschiedenen Materialien weisen SiN- und a:Si-Plattformen aufgrund ihrer amorphen Atomstruktur intrinsisch schlechte elektrische Eigenschaften (geringe effektive Trägermobilität) auf, im Gegensatz zu dem, was typischerweise für die elektrooptische Modulation und das Schalten erforderlich ist und Fotodetektion. Umgekehrt zeichnet sich abgeschiedenes Poly:Si, das immer noch mit der Front-End-Integration kompatibel ist, durch ähnliche elektrische Eigenschaften wie monokristallines Silizium aus, was die Möglichkeit eröffnet, dieses Material in vollständig integrierbaren und sehr effizienten optoelektronischen Komponenten einzusetzen. Dennoch weist abgeschiedenes Poly:Si normalerweise eine hohe Oberflächenrauheit und zahlreiche Korngrenzen auf, die die optischen Leistungen photonischer Komponenten stark beeinträchtigen, hauptsächlich aufgrund von Streumechanismen. Darüber hinaus erforderten fast alle der beschriebenen Poly:Si-Schichten eine Hochtemperaturabscheidung, ein Glühen und eine Nachbehandlung (typischerweise T ≥ 900 °C), wie in 17, 18, 19, 20, 21, 22 gezeigt. Dieser Temperaturbereich ist nicht mit der Back-End-Fertigungsverarbeitung kompatibel23, was zu einer elektronischen Dotierungsdiffusion führt und daher nicht für die vertikale Integration verwendet werden kann. In dieser Arbeit optimieren wir die abgeschiedene Poly:Si-Plattform durch Laserglühen und chemisch-mechanische Planarisierungsprozesse für die Entwicklung hochwertiger PhC-Resonatoren, die FEOL-kompatible integrierte optische On-Chip-Verbindungen verwenden (und gleichzeitig vollständig kompatibel mit der BEOL-Integration bleiben24). In dieser Arbeit erzeugen wir auch glattes Poly:Si auf dicken SiO2-Inseln, die in einem massiven Si-Wafer verschachtelt sind. Die erzeugten Poly:Si-Bereiche auf dem Wafer sind für die Photonik geeignet, ohne die Eignung des restlichen Wafers für Hochleistungstransistoren zu beeinträchtigen. Die Verbesserung der Wechselwirkung zwischen Licht und Materie durch photonische Kristalle ermöglicht die Schaffung leistungsstarker Photonik, die nur einen kleinen Bruchteil der Waferfläche beansprucht.

Das Manuskript ist in folgende Makroabschnitte unterteilt:

Die Optimierung der Poly:Si-Abscheidung, des Glühens und der Oberflächenplanarisierung,

Die Integration abgeschiedener Poly:Si-Inseln auf Bulk-Siliziumwafern,

Die Entwicklung von PhC-Resonatoren mit hoher Güte auf Poly:Si.

Abgeschiedenes Silizium ist eine der wichtigsten Lösungen für die 3D-integrierte Siliziumphotonik. Allerdings weist das Material sowohl in der amorphen als auch in der polykristallinen Form aufgrund von Absorptions- und Rauheitsstreuungsmechanismen, die in den abgeschiedenen Materialien auftreten, schlechte optische Eigenschaften auf. Ihr Einsatz in integrierten Photonikanwendungen erfordert sorgfältige Materialbehandlungstechniken, um intrinsische Materialverluste im Zusammenhang mit der linearen Absorption aufgrund von freien Siliziumbindungen in der amorphen Form und Lichtstreuung aufgrund der Oberflächen- und Korngrenzenrauheit in der polykristallinen Form zu verringern. Im Fall von a:Si können Verluste durch Wasserstoffimplantation erheblich verringert werden, wodurch die Absorption bei der Telekommunikationswellenlänge von Silizium-Dangling-Bindungen durch die Bildung von S-H-Bindungen gelöscht wird25. Darüber hinaus weist Poly:Si schlechte optische Leistungen auf, was hauptsächlich auf seine mikrokristalline Beschaffenheit zurückzuführen ist, bei der während der Kristallisation aus dem abgeschiedenen amorphen Material viele verschiedene kristalline Domänen, Körner (Abb. 1a), gebildet werden. Das Innere dieser Körner zeichnet sich durch monokristalline, siliziumähnliche optische Eigenschaften aus, aber die unterschiedliche Ausrichtung ihrer Kristallebene von Korn zu Korn bildet physikalische raue Grenzen zwischen den Körnern, die die Lichtausbreitung durch Streuung stören. Diese Korngrenzen sind auch für die hohe Oberflächenrauheit von unbehandeltem Poly:Si verantwortlich, die die Lichtausbreitungsverluste auf Poly:Si-Plattformen dominiert26. Aus diesem Grund wurden spezielle CMOS-kompatible Techniken entwickelt, um die Materialrauheit und Korngrößenverteilung zu optimieren, wie z. B. chemisch-mechanische Planarisierung (CMP) bzw. Laserglühen (27, 28, 29, 30), die zur Herstellung des Polys verwendet wurden :Si-Substrate für die in dieser Arbeit diskutierten Photonikanwendungen.

(a) Schematische Darstellung der Atomanordnungen in monokristallinem, amorphem und polykristallinem Silizium, (b) Schematische Darstellung des Laser-Annealing-Prozesses, (c) Schematische Darstellung des CMP-Prozesses (Draufsicht) und (d) Schematische Darstellung des CMP-Prozesses (Seitenansicht) .

Die schematischen Darstellungen der Laserglüh- und CMP-Prozesse sind in Abb. 1b, c und d dargestellt. In diesem Abschnitt wird die Herstellung der Poly:Si-Substrate, für die die PhC-Hohlräume entworfen wurden, ausführlich besprochen. Die Herstellung der Substrate bestand aus zwei verschiedenen Durchgängen, die bei CEA-Leti (Grenoble, Frankreich) durchgeführt wurden. Der erste Durchlauf konzentrierte sich auf die Optimierung der CMP-Prozesse auf 300-mm-Wafern (zuerst mit a:Si abgeschieden und dann zu Poly getempert). :Si – Abb. 2a), und der zweite konzentrierte sich auf die Herstellung der Substrate mit lasergetempertem Poly:Si auf SiO2-Inseln, eingebettet in das Bulk-Silizium (Abb. 2b), auf denen die eigentlichen photonischen Komponenten entwickelt werden. Die Poly:Si-Inseln hatten unterschiedliche Größen im Bereich von 10 bis 1000 µm2 und konnten daher einen oder mehrere photonische Kristallhohlräume aufnehmen, die als wellenlängenselektive Spiegel in Hybrid-External-Cavity-Lasern (HECLs) in vertikaler Kopplungskonfiguration eingesetzt werden konnten31,32. Da die optischen Leistungen von Poly:Si stark von der Oberflächenrauheit abhängen, die die Streuverluste dominiert, musste der CMP-Prozess optimiert werden, um die höchste Oberflächenglätte, idealerweise im Sub-nm-Bereich, für die photonischen Komponenten zu erreichen gemessene Q-Faktoren mindestens im Bereich von 103 (z. B. Mindestwerte, die erforderlich sind, um einen Single-Mode-PhC-basierten Laser zu erhalten, wie in 33 dargestellt). Die Substratherstellung und -optimierung für optische Anwendungen begann mit der Abscheidung von 2,1 µm SiO2 mittels Plasma Enhanced Physical Vapour Deposition (PECVD) auf 300-mm-Siliziumwafern. Anschließend wurde eine 450 nm dicke Schicht aus amorphem Silizium mittels Niedertemperatur-PECVD (\(T=350\,^\circ \mathrm{C}\)) auf den Wafern abgeschieden. Die Dicke der abgeschiedenen a:Si-Schicht war höher als das endgültige Ziel von 220 nm, da der CMP-Prozess beim Polieren des Wafers einen großen Teil des Materials entfernt.

Schematische Darstellung der Poly:Si-Substrate in den beiden Konfigurationen: (a) thermisch getempertes Poly:Si, abgeschieden auf PECVD-SiO2 für die Optimierung des CMP-Prozesses und (b) Poly:Si auf SiO2-Inseln, verschachtelt in einem Bulk-Siliziumwafer für die Herstellung von Poly :Si optische Resonatoren.

Die im ersten Durchlauf abgeschiedenen a:Si-Wafer wurden thermisch getempert, um Poly:Si zu bilden, und wurden für die Optimierung des CMP-Prozesses verwendet, während die im zweiten Durchlauf abgeschiedenen a:Si-Wafer auf SiO2-Inseln mit gepulstem Laserglühen unter Beibehaltung der behandelt wurden Wafer bei einem \(T=450\,^\circ \mathrm{C}\), um das Poly:Si-Kornwachstum bei Leti zu fördern. Das durch diese Laserglühschritte erhaltene Poly:Si wies Korngrößen im Bereich von mehreren zehn bis hundert µm2 auf. Das Wachstum der Korngrößen des Poly:Si nach dem Laserglühen geht aus den REM-Bildern von Abb. 3 hervor. Diese Korngrößenverteilung ist wünschenswert, da ganze PhC-Hohlräume in einem einzigen Korn enthalten sein können, wodurch optische Wechselwirkungen mit mehreren Korngrenzen vermieden werden innerhalb des PhC, was die Streuverluste erhöhen würde.

REM-Bilder des Poly:Si-Substrats: (a) vor dem Laserglühen (a:Si), (b) nach 4 Laserschüssen mit einer Energie von 700 mJ und (c) nach 4 Laserschüssen mit einer Energie von 1100 mJ, Hervorhebung die Modifikation der Poly:Si-Oberfläche aufgrund des mit dem Laserglühen verbundenen Kornwachstums.

Die im ersten Durchlauf durch CMP polierten 300-mm-Wafer zeigten eine durchschnittliche Oberflächenrauheit von \(\{{R}_{a}=0,101 \mathrm{nm}, {R}_{q}=0,049 \mathrm{nm} \}\) mit guter Oberflächengleichmäßigkeit (Variation Mitte-Kante \(<\hspace{0,17em}\)15 nm), und die endgültige erhaltene Poly:Si-Schichtdicke lag im Bereich von \(250\pm 30\) nm.

Der Herstellungsablauf für die Poly:Si-Inseln (Konfiguration in Abb. 2b) ist komplexer als der für die Substrate von Abb. 2a, da bereichsselektives Ätzen und Abscheiden von Material erforderlich ist. Die endgültigen photonischen Komponenten (DA- und L3-PhC-Hohlräume) wurden dann auf den Poly:Si-Inseln strukturiert und gemessen. Der gesamte Herstellungsprozessablauf der mit PhC-Hohlräumen strukturierten Poly:Si auf SiO2-Inseln ist in den Schemata von Abb. 4 dargestellt.

Herstellungsablauf des Poly:Si auf SiO2-Inseln, die in massiven Si-Wafern verschachtelt sind: (a) eine Hartmaske aus Si3N4 wird abgeschieden und ein Tief-UV-Lithographieschritt wird verwendet, um Rechtecke freizulegen, die als Inseln verwendet werden sollen, (b) Plasmaätzen von der Hartmaske und des Bulk-Si, (c) PECVD von SiO2, (d) Planarisierung des SiO2, (e) Niedertemperatur-PECVD des a:Si, (f) Laserglühen in Poly:Si, (g) CMP des poly:Si, (h) Elektronenstrahllithographie der PhC-Hohlräume und (i) deren Trockenätzung.

Ausgehend von einem 300 mm großen Siliciumwafer wird eine 60 nm dicke Hartmaske aus Si3N4 durch plasmaunterstützte chemische Gasphasenabscheidung (PECVD) abgeschieden und eine 1 µm dicke Schicht aus S1813-Fotolack darauf aufgeschleudert. Ein Tief-UV-Lithographieschritt wird durchgeführt, um unterschiedlich große rechteckige Bereiche freizulegen, die die Inseln definieren (Abb. 4a). Ein Schritt des doppelten reaktiven Ionenätzens (RIE) zuerst in der SF6:CHF3-Chemie für die Si3N4-Maske und das Bulk-Si, um eine Ätztiefe von mehr als 1 µm zu erreichen (Abb. 4b), bei dem eine 1,4 µm dicke Schicht auf SiO2 durch PECVD abgeschieden wird ( Abb. 4c). Anschließend folgt die Planarisierung des SiO2 mittels Standard-Oxid-CMP (Abb. 4d), um flache, mit Oxid gefüllte Gräben zu erhalten, die mit dem abgeschiedenen Silizium gefüllt werden. Ein PECVD-Schritt bei niedriger Temperatur (T=350 °C) wird verwendet, um 450 nm a:Si abzuscheiden (Abb. 4e), das dann über eine gepulste Excimer-Laserquelle zu Poly:Si getempert wird, während das Substrat bei \(T) gehalten wird =450\,^\circ \mathrm{C}\), um das Kornwachstum bis zu mehreren zehn µm2 zu fördern (Abb. 4f). Anschließend wird der neu entwickelte Poly:Si-CMP-Prozess durchgeführt, um die Poly:Si-Inseln auf die Zieldicke von \(260\pm 40\) nm und eine Oberflächenrauheit im Sub-nm-Bereich zu nivellieren und zu polieren (Abb. 4g). Nach der Inspektion der Substrate durch AFM und SEM zur Überprüfung der Oberflächengleichmäßigkeit und der endgültigen Poly:Si-Dicke wurde eine 500 nm dicke Schicht ZEP 520A-Resist auf den Wafer aufgeschleudert und die speziell entwickelten PhC-Hohlräume darauf freigelegt (Abb. 4h). mittels Elektronenstrahllithographie (EBL) mit einem 100-kV-System (Elionix ELX100), wobei sichergestellt wird, dass die photonischen Komponenten auf die Poly:Si-Inseln ausgerichtet werden. Schließlich wurden die Muster durch Ätzen mit induktiv gekoppeltem Plasma (ICP) in N2:Cl2-Chemie auf das Poly:Si übertragen (Abb. 4i). Die endgültigen Eigenschaften der Poly:Si-Schicht und die Dauer des CMP-Prozesses sind in Tabelle 1 aufgeführt.

Der CMP-Prozess P1: VP5000/FSL1531 und der CMP-Prozess P3: IK2010H/PL6116 stellen nachfolgende chemisch-mechanische Planarisierungsschritte mit unterschiedlichen Parametern dar: Wafer-Spanndruck, Polierpad-Geschwindigkeit, Konditionierung.

Die Abscheidung von SiO2 in den großen Si-Gräben und das anschließende Abscheiden und Tempern von a:Si zu Poly:Si ist in den REM-Bildern von Abb. 5 dargestellt.

SEM-Bilder von: (a) abgeschiedenem SiO2 in den großen Si-Gräben (Draufsicht), (b) abgeschiedenem SiO2 (Querschnitt), (c) abgeschiedenem a:Si (Seitenansicht) und (d) getempertem a:Si zu Poly :Si (Querschnitt).

Die Draufsicht und der Querschnitt des abgeschiedenen Siliciumdioxids sind in Abb. 5a bzw. b dargestellt, während das abgeschiedene a:Si in der Seitenansicht in Abb. 5c und das getemperte a:Si zu Poly:Si in Abb. dargestellt ist .5d, direkt vor den CMP-Schritten. Von großer Bedeutung ist, dass die SiO2-Dicke mehr als 1 µm beträgt. Dies ist erforderlich, um die optischen Moden der PhC-Hohlräume in der photonischen Poly:Si-Schicht gut einzuschließen und eine evaneszente Kopplung mit der Si-Masse zu vermeiden.

Die auf den polierten Poly:Si-Inseln hergestellten PhC-Hohlräume (DA- und L3-Designs) sind stattdessen in den REM-Bildern von Abb. 6 dargestellt. Das Poly:Si auf den SiO2-Inseln ist in Abb. 6a in einem anderen Grauton als im Vergleich zu sehen zum Bulk-Si (hervorgehoben durch die weißen gestrichelten Linien), während die PhC-Hohlraumsätze durch die rosa gestrichelten Pfeile angezeigt werden. Starke Vergrößerungen eines PhC-Hohlraums in einem dieser Sätze sind in Abb. 6b und c dargestellt, während Abb. 6d den Mikrohohlraum in einem \(45^\circ\)-Winkel abgebildet zeigt.

REM-Bilder der PhC-Hohlräume, die auf den abgeschiedenen Poly:Si-Inseln gemustert sind, bei verschiedenen Vergrößerungen: (a) 28x, die PhCs werden durch die rosa Ellipsen und gestrichelten Pfeile hervorgehoben und die Poly:Si-Inseln werden durch die weißen gestrichelten Linien abgegrenzt, (b ) Fernfeldoptimierter L3-PhC-Hohlraum bei 9740x, (c) derselbe Hohlraum bei 25000x, mit SEM-Messungen des Lochradius und der PhC-Periodizität und (d) PhC-Hohlraum bei 45° abgebildet.

Im nächsten Abschnitt wird die numerische Optimierung der Poly:Si-Hohlräume und ihre experimentell gemessenen optischen Leistungen erörtert.

Die auf den Poly:Si-Inseln hergestellten PhC-Hohlräume wurden für den endgültigen Poly:Si-Schichtdickenbereich von 240 bis 270 nm (erhalten nach der CMP-Verarbeitung) mithilfe der Finite-Difference-Time-Domain (FDTD)-Methode mit dem Ansys simuliert und optimiert –Lumerische Software. Die Parameter Lochdurchmesser (d) und Gitterperiode (a) des 2D-Photonenkristall-Hohlraumdesigns wurden für die Dickenwerte 240, 250, 260, 270 und 280 nm optimiert, um Designs mit hoher optischer Leistung für alle Bereiche zu erhalten experimenteller Schichtdickenbereich. Für die numerischen Simulationen wurden DA- und L3-2D-PhC-Hohlraumdesigns ausgewählt. Die Verschiebung der zentralen Hohlraumlöcher der hergestellten Geräte wurde optimiert, um einen relativ hohen Q-Faktor zu erreichen und gleichzeitig einen guten Anteil der Lichteinkopplung in und aus dem PhC-Hohlraum entlang seiner Normalrichtung aufrechtzuerhalten, wie bei der in22 untersuchten Fernfeldoptimierung. Trotz der intrinsischen Senkung ihrer Q-Faktoren ist für diese Hohlräume eine Fernfeldoptimierung erforderlich, um ihre Reaktion zu messen, ohne dass mit ihnen verbundene Wellenleiter erforderlich sind.

Um das hergestellte Poly-Si-Material genau zu simulieren, wurden die optischen Brechungs- und Absorptionskonstanten n und k (Brechungsindex bzw. Extinktionskoeffizient) der polierten Poly:Si-Wafer durch optische Ellipsometrie (JA Wollam Ellipsometer) über a gemessen Es verfügt über einen breiten Wellenlängenbereich von 600 bis 1600 nm und die Messwerte wurden in das Lumerical-Materialarchiv importiert, um Absorptionsverluste bei den Berechnungen zu berücksichtigen. Die gemessenen Ellipsometriedaten des Poly:Si sind in Abb. 7 im interessierenden Bereich (von 1500 bis 1600 nm) dargestellt.

Auftragung der realen (n) und imaginären (k) Brechungsindexanteile eines polierten Poly:Si-Wafers gegen die Wellenlänge, gemessen mit dem Ellipsometer und angepasst mit einem Cauchy-Modell.

Aufgrund des hexagonalen PhC-Gitters wurden alle Lumerical-Simulationen mit einer Maschenweite von \(dx=a/25\) und \(dy=a\left(\sqrt{3}/2\right)/25\) durchgeführt. und \(dz=t/10\), wobei \(t\) die Poly:Si-Dicke darstellt, um eine ganzzahlige Anzahl von Zellen entlang jeder Achse zu haben.

Die berechneten optischen Moden, die in einem 270 nm dicken Poly:Si-DA-Hohlraum eingeschlossen sind, sind in Abb. 8 dargestellt, in der eine Farbkarte der elektrischen Feldintensität der Hohlraummoden in der xy-Ebene aufgetragen ist. In den verschiedenen Feldern der Abbildung weist der Grundmodus (Modus 1) die typische Form mit einem einzelnen Intensitätspeak und ohne Keule auf, während die Modi höherer Ordnung (Modus 2, 3 und 4) je nach Intensität eine zunehmende Anzahl von Peaks aufweisen zunehmende Modusreihenfolge. Modus 1, 2, 3 und 4 zeigten simulierte Q-Faktoren von 5,2·106 bei 1578,35 nm, 3,1·105 bei 1559,63 nm, 1,7·104 bei 1568,90 nm und 6,1·103 bei 1530,41 nm.

Diagramm der elektrischen Feldintensität in der xy-Ebene der ersten vier optischen Moden, eingeschlossen in einem 270 nm dicken Poly:Si-DA-PhC-Hohlraum. (a) Modus 1 (der Grundmodus) und Modi höherer Ordnung (b) Modus 2, (c) Modus 3 und (d) Modus 4.

Die Simulationen wurden für PhC-Hohlräume vom Typ L3 für die verschiedenen abgeschiedenen Siliziumdicken wiederholt und Abb. 9 zeigt die ersten begrenzten optischen Moden in einem solchen Hohlraum mit 270 nm Dicke. Der Grundmodus (Modus 1) hat einen berechneten Q-Faktor von 5,2·105 bei 1538,08 nm, während der Modus höherer Ordnung (Modus 2) einen berechneten Q-Faktor von 4,1·104 bei 1539,43 nm hat.

Diagramm der elektrischen Feldintensität in der xy-Ebene der ersten vier optischen Moden, eingeschlossen in einem 270 nm dicken Poly:Si L3 PhC-Hohlraum. (a) Modus 1 (der Grundmodus) und (b) Modus höherer Ordnung (Modus 2).

Die fernfeldoptimierten, an die Dispersion angepassten (DA)34 und L3-PhC-Hohlräume, die auf dem polierten Poly:Si auf SiO2-Inseln strukturiert sind, die mittels EBL und Trockenätzen in die Si-Volumenwafer eingebettet sind, wurden durch die Technik der resonanten Streuung optisch charakterisiert35 und die Ergebnisse sind zusammengefasst in Abb. 10.

(a) Optisches Spektrum eines L3-PhC, gemessen durch resonante Streutechnik, (b) Spektrum der gemessenen Grundmode von (a), in dem die rote Kurve eine Lorentz-Anpassung der Resonanz darstellt, (c) Gemessene Q-Faktoren von L3 Hohlräume mit einer Gitterkonstante, die mit einem 2-nm-Schritt zunimmt, (d) Resonanzwellenlänge der optischen Moden des L3-PhC-Hohlraums gegenüber der Gitterkonstante, wobei unterschiedliche Farben unterschiedliche gemessene Resonanzen darstellen.

Abbildung 9a zeigt das gemessene optische Spektrum eines L3-PhC-Hohlraums, der auf einer polierten 270 nm dicken Poly:Si-Insel strukturiert ist, in der sich die begrenzten optischen Moden als Intensitätsspitzen manifestieren, die aus dem Basisliniensignal der Quelle herausragen, wie mit der Technik der resonanten Streuung erwartet. Eine Nahaufnahme des gemessenen Grundmodus ist in Abb. 9b dargestellt und mit einer Lorentz-Kurve mit einem FWHM von 0,216 nm und einer zentralen Spitzenwellenlänge von 1548,31 nm versehen, was zu einem gemessenen Q-Faktor von 7141 führt. Die Darstellung der gemessenen Werte Die Gütefaktoren des gleichen L3-PhC-Hohlraumdesigns mit zunehmender Gitterkonstante (\(a\)) sind in Abb. 9c dargestellt, in der die Gitterkonstante in Schritten von 2 nm zunimmt. Alle gemessenen Q-Faktoren liegen im Bereich \({10}^{3}-{10}^{4}\). In Abb. 9d sind die gemessenen Resonanzwellenlängen der verschiedenen Hohlraummoden des L3-PhC-Hohlraumdesigns dargestellt aufgetragen gegen die zunehmende Gitterkonstante (Parameter, der in den hergestellten Vorrichtungen mit einem Inkrement von 2 nm abgetastet wird), was ein sehr lineares Verhalten für alle gemessenen optischen Moden zeigt und die Möglichkeit einer ziemlich genauen Steuerung der Resonanzwellenlänge durch lithographische Abstimmung ermöglicht.

Diese Ergebnisse zeigen die Möglichkeit, relativ hochwertige optische Resonatoren auf 3D-integrierten Inseln aus Poly:Si auf SiO2 zu strukturieren, die auf massiven Si-Wafern eingebettet sind, für optische Verbindungen der nächsten Generation. Der in der Siliziuminsel befindliche photonische Kristallresonator kann über eine vertikal darüber angeordnete wellenleitende Schicht mit anderen Komponenten verbunden werden. Eine Vielzahl von Komponenten wurde in vertikal gekoppelter Konfiguration demonstriert, beispielsweise Fotodetektoren36, Modulatoren37 und Laser32. Eine solche Konfiguration eignet sich ideal für diese Anwendung, da die verbindenden Wellenleiter die für Transistoren verfügbare Fläche nicht verringern.

Die in dieser Arbeit verwendeten Verarbeitungsschritte sind alle Standardprozesse in CMOS (z. B. kann eine flache Grabenisolierung die SiO2-Schicht bereitstellen). Nach der Herstellung von Polysiliziuminseln können CMOS-Prozesse zur Herstellung elektronischer Komponenten und der darauffolgenden Schichten eingesetzt werden. Unser Ansatz ist vollständig CMOS-kompatibel, da nachfolgende CMOS-Schritte keine Auswirkungen auf die „photonischen Inseln“ haben. Dieser Ansatz ermöglicht somit eine Frontend-Integration von Elektronik und Photonik mit minimaler Unterbrechung des Prozessablaufs.

In dieser Arbeit wird die Entwicklung eines Herstellungsprozesses zur Optimierung der optischen Leistung von abgeschiedenem Poly:Si mittels chemisch-mechanischer Planarisierung und Laserglühen vorgestellt, wodurch Oberflächenrauheitswerte im Subnanometerbereich erreicht werden. Die Herstellung von dünnem Poly:Si auf 2 µm dicken SiO2-Inseln wird auf Bulk-Si-Wafern erreicht, die als photonische Schichten fungieren könnten, die in photonisch-elektronischen integrierten Architekturen auf der Bulk-Si-Elektronikschicht integriert sind. Darüber hinaus wurden für solche Poly:Si-Inseln optische Resonatoren mit relativ hohem Q in Form von 2D-PhC-Hohlräumen (DA- und L3-Designs) entwickelt und ihre optischen Leistungen gemessen, wobei die Q-Faktor-Werte sehr gut mit den Betriebsanforderungen kompatibel sind als wellenlängenselektive Resonanzspiegel in Lasern mit externem Resonator. Dies eröffnet die Möglichkeit, abgeschiedene optische Poly:Si-Resonatoren in neuartigen 3D-integrierten photonisch-elektronischen Komponenten für optische Verbindungen der nächsten Generation einzusetzen.

Die während der aktuellen Studie verwendeten und/oder analysierten Datensätze sind auf begründete Anfrage beim entsprechenden Autor erhältlich. Die Autoren geben an, dass kein Interessenkonflikt besteht.

Internationale Roadmap für Geräte und Systeme, Ausgabe 2017 – More Moore, ITRS (2019).

Cutress, I. IBM entwickelt den ersten 2-nm-Chip. www.anandtech.com (abgerufen am 6. Mai 2021).

Samavedam, SB, Ryckaert, J., Beyne, E., Ronse, K., Horiguchi, N., Tokei, Z., Radu, I., Bardon, MG, Na, MH, Spessot, A., Biesemans, S . Zukünftige Logikskalierung: hin zu atomaren Kanälen und dekonstruierten Chips 1.1.1–1.1.10 IEEE Int. Treffen zu Elektronengeräten. (IEDM), (2020).

Internationale Roadmap für Geräte und Systeme 2014 Edition–2.0 FT Whitepaper, System Integration R1, ITRS (2014)

Narasimha, A. et al. Ein vollständig integrierter optoelektronischer DWDM-Transceiver mit 4 x 10 Gbit/s, implementiert in einer Standard-0,13-µm-CMOS-SOI-Technologie. IEEE J. Solid State Circuits 42(12), 2736–2744 (2007).

Artikel ADS Google Scholar

Puers, R., Baldi, L., Van de Voorde, M. & Van Nooten, SE Nanoelektronik: Materialien, Geräte, Anwendungen 1. Aufl. (Wiley-VCH, 2017).

Google Scholar

Priya, A., Srivastava, NA & Mishra, R. „Perspektive der Variation der vergrabenen Oxiddicke auf versenktem S/D-FD-SOI-MOSFET mit dreifachem Metallgate (TMG)“. Matte. Wissenschaft. Adv. Elektr. Elektr. Ing. 16, 380–387 (2018).

Google Scholar

Holzwarth, CW, Orcutt, JS, Li, H., Popovic, MA, Stojanovic, V., Hoyt, JL, Ram, RJ & Smith, HI Lokalisierte Substratentfernungstechnik, die Mikrophotonik mit starkem Einschluss in Bulk-Si-CMOS-Prozessen ermöglicht. In: Konferenz über Laser und Elektrooptik, OSA Technical Digest, Optical Society of America (2008).

Orcutt, JS et al. Nanophotonische Integration in hochmodernen CMOS-Foundries. Opt. Express 19, 2335–2346 (2011).

Artikel ADS CAS Google Scholar

Mehta, KK et al. High-Q-CMOS-integrierte photonische Kristall-Mikrokavitätsgeräte. Wissenschaft. Rep. 4, 4077 (2014).

Artikel ADS Google Scholar

Sherwood-Droz, N., Gondarenko, A. & Lipson, M. Oxidierter Silizium-auf-Isolator (OxSOI) aus Bulk-Silizium: Eine neue photonische Plattform. Opt. Express 18, 5785–5790 (2010).

Artikel ADS CAS Google Scholar

Sridaran, S. & Bhave, SA Nanophotonische Geräte auf dünnen, vergrabenen Oxid-Silizium-auf-Isolator-Substraten. Opt. Express 18, 3850–3857 (2010).

Artikel ADS CAS Google Scholar

Young, IA et al. Optische I/O-Technologie für Tera-Scale-Computing. IEEE J. Solid-State Circuits 45(1), 235–248 (2010).

Artikel ADS Google Scholar

Pae, S., Su, T., Denton, J. & Neudeck, G. Herstellung mehrerer Schichten von Silizium-auf-Isolator-Inseln durch selektives epitaktisches Wachstum. IEEE Electron Device Lett. 20, 194–196 (1999).

Artikel ADS CAS Google Scholar

Ogura, A. Verfahren zur Herstellung von SOI-Substrat.1 US-Patent 5,888,297, Ausgabedatum: 30. März (1999).

Bajor G et al. Verwendung eines schnellen thermischen Prozesses zur Herstellung eines wafergebundenen SOI-Halbleiters. US-Patent 4.771.016 Ausstellungsdatum: 13. September (1988)

Preston, K., Schmidt, B. & Lipson, M. Photonische Resonatoren aus Polysilizium für die groß angelegte 3D-Integration optischer Netzwerke. Optik. Äußern. 15, 17283–17290 (2008).

Artikel ADS Google Scholar

Biberman, A. et al. Photonische Network-on-Chip-Architekturen mit mehrschichtig abgeschiedenen Siliziummaterialien für Hochleistungs-Chip-Multiprozessoren. ACM J. Emerg. Technol Comput. Syst. 7, 1–25 (2011).

Artikel Google Scholar

Foresi, JS et al. Verluste in polykristallinen Siliziumwellenleitern. Appl. Physik. Lette. 68, 2052 (1996).

Artikel ADS CAS Google Scholar

Liao, Ling et al. Optische Übertragungsverluste in polykristallinen Silizium-Streifenwellenleitern: Auswirkungen von Wellenleiterabmessungen, thermischer Behandlung, Wasserstoffpassivierung und Wellenlänge. J. Elektr. Matte. 29, 1380–1386 (2001).

Artikel ADS Google Scholar

Orcutt, JS et al. Verlustarme Polysilizium-Wellenleiter, hergestellt in einem emulierten Großserien-Elektronikprozess. Optik. Express 20, 7243–7254 (2012).

Artikel ADS CAS Google Scholar

Zhu, S. et al. Ausbreitungsverluste in undotierten und n-dotierten polykristallinen Siliziumdrahtwellenleitern. Optik. Äußern. 17, 20891–20899 (2009).

Artikel ADS CAS Google Scholar

Kuyken, B. et al. Nichtlineare Eigenschaften und nichtlineare Verarbeitung in hydrierten amorphen Siliziumwellenleitern. Optik. Äußern. 19, B146–B153 (2011).

Artikel CAS Google Scholar

Kaneko, K., Inoue, N., Saito, S., Furutake N. & Hayashi, Y. In: Ein neuartiger BEOL-Transistor (BETr) mit InGaZnO eingebettet in Cu-Verbindungen für On-Chip-Hochspannungs-I/Os im Standard CMOS LSIs 120–121 Symposium zur VLSI-Technologie, (2011)

Tong, Q.-Y. & Gösele, U. Halbleiter-Wafer-Bonding: Wissenschaft und Technologie (Wiley-Interscience, 1998).

Google Scholar

Foresi, JS, Black, MR, Agarwal, AM & Kimerling, LC Verluste in polykristallinen Siliziumwellenleitern. Appl. Physik. Lette. 68, 2052 (1996).

Artikel ADS CAS Google Scholar

Martinez-Jimenez, G. et al. Photonische Mikrostrukturen, hergestellt durch selektives Ätzen von laserkristallisiertem amorphem Silizium. Opt. Mater. Express 9, 2573–2581 (2019).

Artikel ADS CAS Google Scholar

Aktas, O. et al. Nichtlineare Eigenschaften laserbearbeiteter polykristalliner Siliziumwellenleiter für die integrierte Photonik. Opt. Express 28, 29192–29201 (2020).

Artikel ADS CAS Google Scholar

Godbole, VP & Chaudari, SM Laserglühen von Silizium. Bul. Mater. Wissenschaft. 11, 97–108 (1988).

Artikel CAS Google Scholar

Gluschenkov, O. & Jagannathan, H. Laserglühen in der CMOS-Herstellung. ECS Trans. 85, 11 (2018).

Artikel CAS Google Scholar

Liles, AA, Debnath, K. & O'Faolain, L. Lithografische Wellenlängensteuerung eines Lasers mit externem Hohlraum mit einem Resonanzreflektor auf Basis eines Silizium-Photonenkristallhohlraums. Opt. Lette. 41, 894–897 (2016).

Artikel ADS CAS Google Scholar

Iadanza, S., Devarapu, C., Liles, A., Sheehan, R. & O'Faoláin, L. Hybrider externer Hohlraumlaser mit einem photonischen Kristallhohlraumspiegel auf amorpher Siliziumbasis. Appl. Wissenschaft. 10, 240 (2020).

Artikel CAS Google Scholar

Iadanza, S. et al. Thermisch stabiler Hybrid-Cavity-Laser auf Basis von Siliziumnitrid-Gittern. Appl. Opt. 57, E218–E223 (2018).

Artikel ADS CAS Google Scholar

Welna, K., Portalupi, SL, Galli, M., O'Faolain, L. & Krauss, TF Neuartiger, an die Dispersion angepasster photonischer Kristallhohlraum mit verbesserter Störungsstabilität. IEEE J. Quantum Electr. 48(9), 1177–1183 (2012).

Artikel ADS CAS Google Scholar

Portalupi, SL et al. Planare photonische Kristallhohlräume mit Fernfeldoptimierung für hohe Kopplungseffizienz und Qualitätsfaktor. Opt. Express 18, 16064–16073 (2010).

Artikel ADS CAS Google Scholar

Debnath, K. et al. Dielektrischer Wellenleiter, vertikal gekoppelt an Vollsilizium-Fotodioden, die bei Telekommunikationswellenlängen arbeiten. Appl. Physik. Lette. 102, 171106 (2013).

Artikel ADS Google Scholar

Debnath, K. et al. Kaskadierte Modulatorarchitektur für WDM-Anwendungen. Opt. Express 20, 27420–27428 (2012).

Artikel ADS Google Scholar

Referenzen herunterladen

Die Autoren danken dem European Nanoelectronics Network (Ascent 104), EU ERC-StG 337508 DANCER, Science Foundation Ireland (SFI) (16/ERCS/3838, SFI12/RC/2276).

Tyndall National Institute, Lee Maltings, Dyke Parade, Cork, Irland

S. Iadanza, GCR Devarapu, A. Blake und L. O'Faolain

Munster Technological University, Rossa Avenue, Bishopstown, Cork, Irland

S. Iadanza, GCR Devarapu und L. O'Faolain

Universität Grenoble Alpes, CEA, LETI, 38000, Grenoble, Frankreich

P. Acosta Dawn & J.-M. Pedini

Sie können diesen Autor auch in PubMed Google Scholar suchen

Sie können diesen Autor auch in PubMed Google Scholar suchen

Sie können diesen Autor auch in PubMed Google Scholar suchen

Sie können diesen Autor auch in PubMed Google Scholar suchen

Sie können diesen Autor auch in PubMed Google Scholar suchen

Sie können diesen Autor auch in PubMed Google Scholar suchen

SI schrieb den Manuskripttext, entwarf, fertigte und vermaß die Poly:Si-Mikrokavitäten, entwarf die Poly:Si-Inselarchitektur und verwaltete das Projekt mit L.O'FCD lieferte das Skript für die GDS-Extraktion der Poly:Si-Mikrokavitäten. PAA war für das Laserglühen und die Korngrößenentwicklung von Poly:Si und JM.P verantwortlich. der Querschnitts-REMs des Poly:Si auf SiO2-Inseln nach CMP. AB gab Einblick in die FEOL- und BEOL-Schritte der CMOS-Herstellung. L.O'F. betreute das Projekt und lieferte dessen Konzeption. L.O'F. und SI erwarb Fördermittel. Alle Autoren haben das Manuskript überprüft.

Korrespondenz mit S. Iadanza.

Die Autoren geben an, dass keine Interessenkonflikte bestehen.

Springer Nature bleibt neutral hinsichtlich der Zuständigkeitsansprüche in veröffentlichten Karten und institutionellen Zugehörigkeiten.

Open Access Dieser Artikel ist unter einer Creative Commons Attribution 4.0 International License lizenziert, die die Nutzung, Weitergabe, Anpassung, Verbreitung und Reproduktion in jedem Medium oder Format erlaubt, sofern Sie den/die ursprünglichen Autor(en) und die Quelle angemessen angeben. Geben Sie einen Link zur Creative Commons-Lizenz an und geben Sie an, ob Änderungen vorgenommen wurden. Die Bilder oder anderes Material Dritter in diesem Artikel sind in der Creative Commons-Lizenz des Artikels enthalten, sofern in der Quellenangabe für das Material nichts anderes angegeben ist. Wenn Material nicht in der Creative-Commons-Lizenz des Artikels enthalten ist und Ihre beabsichtigte Nutzung nicht gesetzlich zulässig ist oder über die zulässige Nutzung hinausgeht, müssen Sie die Genehmigung direkt vom Urheberrechtsinhaber einholen. Um eine Kopie dieser Lizenz anzuzeigen, besuchen Sie http://creativecommons.org/licenses/by/4.0/.

Nachdrucke und Genehmigungen

Iadanza, S., Devarapu, GCR, Blake, A. et al. Polykristalline Silizium-PhC-Hohlräume für die CMOS-On-Chip-Integration. Sci Rep 12, 17097 (2022). https://doi.org/10.1038/s41598-022-21578-6

Zitat herunterladen

Eingegangen: 09. Februar 2022

Angenommen: 29. September 2022

Veröffentlicht: 12. Oktober 2022

DOI: https://doi.org/10.1038/s41598-022-21578-6

Jeder, mit dem Sie den folgenden Link teilen, kann diesen Inhalt lesen:

Leider ist für diesen Artikel derzeit kein gemeinsam nutzbarer Link verfügbar.

Bereitgestellt von der Content-Sharing-Initiative Springer Nature SharedIt

Durch das Absenden eines Kommentars erklären Sie sich damit einverstanden, unsere Nutzungsbedingungen und Community-Richtlinien einzuhalten. Wenn Sie etwas als missbräuchlich empfinden oder etwas nicht unseren Bedingungen oder Richtlinien entspricht, kennzeichnen Sie es bitte als unangemessen.

AKTIE